Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

problem synthesizing for loop

Status
Not open for further replies.

zubairbasha

Newbie level 1
Joined
Aug 11, 2013
Messages
0
Helped
0
Reputation
0
Reaction score
0
Trophy points
0
Activity points
0
i am using CADENCE TOOlS

nclaunch for verilog(rlt) code
rc-compailer for synthesis

in one of my code i am using for loop... shown below

for(ST =1'b0;bk1>8'b0;ST =1'b0 )
begin
bk1 = bk1>>1;
if(bk1[0]==1) ST_ODD = ST_ODD+1;
else ST_ODD = ST_ODD;
end
next_state = s2;




in rc complier the following ERROR is generated...........

Elaborating top-level block 'encoding_technique1' from file '/root/rclabs/work/../rtl_mini_project/mini_project.v'.
Error : Reached maximum loop limit while unrolling loop. [CDFG-457] [elaborate]
: Loop maximum = 1024 in file '/root/rclabs/work/../rtl_mini_project/mini_project.v' on line 82.
Info : Deleting HDL design. [CDFG-305]
: Design 'encoding_technique1'.
Module 'encoding_technique1' contains errors and cannot be elaborated.



i gave following command in my sdc file

*********************************
set_attribute hdl_max_loop_limit 5000
*********************************

still i failed to elaborate my code

what i understood is though my iterations are at mamxium 512 which is with in max limit of 1024 still i am unable to synthesis my code
can any one make me understand the mistake i am doing here.

Thanks in advance:)
 

You need to read up on the Verilog for loop. It's not a SW construct where you can modify the loop variable on the fly while running the code in a simulator. It's meant for producing parallel hardware.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top