Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Synopsys NanoTime

Status
Not open for further replies.
Joined
Oct 23, 2020
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
16
Hi I am new to NanoTime

I am getting an error while link_design

Start netlist compilation at Fri Oct 23 15:55:57 2020

ERROR:NetComp:0x30201005:can not open file /home/gurinderpsingh/Synopsys/hspice/final_test/nanotime.sp.
Error: Compiling netlist failed. (NLNK-002)
0




1603483422879.png


Code:
set search_path {/home/gurinderpsingh/Synopsys/hspice/final_test}
set library_path {/home/gurinderpsingh/Synopsys/hspice/final_test}
set link_path {* /home/gurinderpsingh/Synopsys/hspice/final_test/tcbn65gplusbc.db}
set oc_global_voltage 2.5
register_netlist -format spice {nanotime.sp tech.sp}
link_design InverterTest
report_port
set input_ports {IN_1 IN_0}
set_port_direction -input $input_ports
set output_ports {OUT_1 OUT_0}
set_port_direction -output $output_ports
report_port
report_design
report_net
report_cell
create_clock -name MCLK -period 10.0
report_clock
match_topology
report_transistor_direction
report_topology
check_topology
set_input_delay -clock MCLK -rise 1.0 {IN_1 IN_0}
set_input_delay -clock MCLK -fall 1.0 {IN_1 IN_0}

set_input_delay -clock MCLK -add_delay 1.0 {IN_1 IN_0}
report_port -input_delay
set_output_delay -clock MCLK 0.0 {OUT_1 OUT_0}
report_port -output_delay
check_design
trace_paths
report_paths -max -max_paths 10
exit

and spice file is

Code:
set search_path {/home/gurinderpsingh/Synopsys/hspice/final_test}
set library_path {/home/gurinderpsingh/Synopsys/hspice/final_test}
set link_path {* /home/gurinderpsingh/Synopsys/hspice/final_test/tcbn65gplusbc.db}
set oc_global_voltage 2.5
register_netlist -format spice {nanotime.sp tech.sp}
link_design InverterTest
report_port
set input_ports {IN_1 IN_0}
set_port_direction -input $input_ports
set output_ports {OUT_1 OUT_0}
set_port_direction -output $output_ports
report_port
report_design
report_net
report_cell
create_clock -name MCLK -period 10.0
report_clock
match_topology
report_transistor_direction
report_topology
check_topology
set_input_delay -clock MCLK -rise 1.0 {IN_1 IN_0}
set_input_delay -clock MCLK -fall 1.0 {IN_1 IN_0}

set_input_delay -clock MCLK -add_delay 1.0 {IN_1 IN_0}
report_port -input_delay
set_output_delay -clock MCLK 0.0 {OUT_1 OUT_0}
report_port -output_delay
check_design
trace_paths
report_paths -max -max_paths 10
exit
 
Last edited by a moderator:

I have solved issue is with version of NanoTime I am using,

I was using nt_shell -f nanotime.scr

correct is : nt_shell -64 -f nanotime.scr
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top