Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

regarding error in VHDL PRO..1

Status
Not open for further replies.

SAKTHITVEL

Newbie level 1
Joined
Oct 17, 2012
Messages
1
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,284
hi,

i am getting error as follows

"# ** Error: E:/1581210061/mux16x1.vhd(24): Too many actuals in association list."

for the line

"x2:MUX_4X1_df port map(ip(4),ip(5),ip(6),ip(7),s(0),s(1),op1);"

and i am getting the same for all the line of port mapping.

plz help me out in this. and explain me why this is happening?

thank you.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top