Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

integrating blackbox to VHDL project.

Status
Not open for further replies.
maybe i didnt have to add any of the ngc files to the project.

i dont know already what is the purpose of the single ngc file in a project... well, now i think the purpose is not to use as an IP module. something else.

just the ngc files for the cores, have to be in the folder. no more rules. we dont have to add them to the project.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top