Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to see the simulated waveform in debussy?

Status
Not open for further replies.
vcd viewer debussy

In modelSim VCD fromat
It seems that I need select which signal I want to moniter first(I am not sure)
but in fsdb
it only need to set compiler parameter (VCS /NCV ,Solaris)
, I can get all signal in my RTL code

so VCD is not so convinence !!
can we use modelsim compile RTL and patern and produce fsdb directly ?
 

$fsdbdumpvars

a easy method..

Copy novas_fli.dll , novas_fli.exp , novas_fli.lib , novas_fli_exp.nt to
your working dir.

create a dump file , this file include below
fsdbDumpfile your_design.fsdb
fsdbDumpvars 0 your_TB_Top_level

then in modelsim add...
Simulate --> option -->Other options add dump file

modelsim will dump waveform
 

debussy waveform viewer tutorial

create a dump file , this file include below
fsdbDumpfile your_design.fsdb
fsdbDumpvars 0 your_TB_Top_level

===============
Sorry , I still cannot understand
Do you man
1. cd C:\eda\Novas\Debussy\share\PLI\modelsim_fli54\WINNT
copy novas_fli.dll,novas_fli_exp.nt c:\work
2. cd c:\work
edit a.txt

fsdbDumpfile pattern.fsdb
fsdbDumpvars 0 top

3.open modelsim
compile top.v

4. simpulate -> simulate -> design (work->a.v)
simpulate -> simulate -> option -> fill a.txt in other options
5. press OK
==> still fail
//=====================
sorry , I am a new guy
would you please tell me what's wrong with these procedure?
TKS in Advance!!
 

fsdb waveform viewer

realtek said:
create a dump file , this file include below
fsdbDumpfile your_design.fsdb
fsdbDumpvars 0 your_TB_Top_level

===============
Sorry , I still cannot understand
Do you man
1. cd C:\eda\Novas\Debussy\share\PLI\modelsim_fli54\WINNT
copy novas_fli.dll,novas_fli_exp.nt c:\work
2. cd c:\work
edit a.txt

fsdbDumpfile pattern.fsdb
fsdbDumpvars 0 top

3.open modelsim
compile top.v

4. simpulate -> simulate -> design (work->a.v)
simpulate -> simulate -> option -> fill a.txt in other options
5. press OK
==> still fail
//=====================
sorry , I am a new guy
would you please tell me what's wrong with these procedure?
TKS in Advance!!

fsdbDumpfile pattern.fsdb
fsdbDumpvars 0 top

the two statement goodman told you is to put them onto the .do
file you use
 

debussy linux vcd

realtek said:
>> I opened modelsim, and typed "$fsdbdumpvars();". Modelsim sais that it doesn't recognize it.

I have the same problem
if ModelSim can recongnize $fsdbDumpfile,$fsdbDumpvars for VHDL code

why Modelsim cannot recongnize these PLI in verilog code?

Hope some EDA master can help to solve this problem!!

In VHDL , you cannot use "$" in your code!
 

debussy open vcd

Hi roger:
I use verilog not VHDL
I want use modelsim to create .fsdb to use debussy do waveform analysis.
may You explain more detail what and where is .do file I need to include when use modelsim to generate .fsdb file.
list a detail procedure will be very appreciated!!
( I try many time to generate .fsdb in modelsim, but never success).
TKS in advance !!!!!!!!!!
 

linux modelsim generate fsdb waves

You have to load both your design and novas.novas like this:

vsim tblib.tbtop novas.novas

then you can use command
vsim> fsdbDumpfile abc.fsdb
vsim> fsdbDumpvars 0 /

or you can use a .do file. That is the same.

novas.novas is a null entity provided by debussy, you have to compile it before hand:
vlib novas
vcom -work novas novas.vhd

the novas has 2 parts:
1. the pkg support automatic procedure , which can be added into your top level vhdl code
2. a null entity (novas.novas) to support interactive command parse, so that you can type command at
vsim>
 

modelsim wave dump debussy linux

Use program nWave from Debussy to view the Waveform
 

debussy waveform viewer + novas

realtek said:
Hi roger:
I use verilog not VHDL
I want use modelsim to create .fsdb to use debussy do waveform analysis.
may You explain more detail what and where is .do file I need to include when use modelsim to generate .fsdb file.
list a detail procedure will be very appreciated!!
( I try many time to generate .fsdb in modelsim, but never success).
TKS in advance !!!!!!!!!!

1. Be sure you have installed debussy
2. add -pli your_pli_path/novas.dll to vsim command
You should describe your problem
 

debussy fsdb format

It is very useful. Thanks for your sharing.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top