[SOLVED] Why is my clock network considered as ideal here (PrimeTime)?

Status
Not open for further replies.

EE18

Newbie level 4
Joined
Aug 11, 2024
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
64
I am fairly new to using PrimeTime and so am playing with a few toy examples using cells from the default lsi_10k.lib cell library.

I am simulating the attached schematic (please advise if you want me to post the gate-level netlist as well) with the constraints below:
I obtain the setup timing report below:
As you can see, the critical path is from the final flop (F3) launching towards the output port. No problem here. The only issue is, why is my clock network being taken as ideal? My clock tree clearly has inverters on it. I would expect to see an arrival time > 0 at my launching flop's clock pin (F3/CP) and yet it is precisely 0. What am I doing wrong? Similarly, in my hold analysis, there is no accounting for clock skew.
 

Attachments

  • Screen Shot 2024-08-11 at 1.10.52 PM.png
    492.4 KB · Views: 59

Try this command:

set_propagated_clock [get_clocks *]
update_timing
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…