fahim1
Member level 4
I want to use the components value inside my code,I found that the process gets component value in next clk.how can i fix that??I mean if i want the value of one component,the value calculated and used immediately in the same clock
thank you!
**a is the output of process
thank you!
Code:
u1 : f1 port map (y, x ,a , clk);
signal a : std_logic_vector(3 downto 0);
Code:
process(clk)
begin
if (clk'event and clk='1') then
p1 := a*b;