Muthuraja.M
Advanced Member level 4
- Joined
- Jul 20, 2013
- Messages
- 101
- Helped
- 0
- Reputation
- 0
- Reaction score
- 0
- Trophy points
- 16
- Activity points
- 634
Code VHDL - [expand] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity normalclk is port( clk : in std_logic; load : in std_logic; i : in std_logic; o : out std_logic ); end normalclk; architecture Behavioral of normalclk is BEGIN process(clk) begin if(rising_edge(clk)) then if(load ='1') then o <= i; end if; end if; end process; end Behavioral;
Code VHDL - [expand] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity gatedclk is port( clk : in std_logic; load : in std_logic; i : in std_logic; o : out std_logic ); end gatedclk; architecture Behavioral of gatedclk is signal gclk : std_logic; BEGIN gclk <= clk and load; process(gclk) begin if(rising_edge(gclk)) then o <= i; end if; end process; end Behavioral;
Code VHDL - [expand] 1 gclk <= clk and load
Well your question was about power gating and not clock gating right?
So the nice chats exchanged were about clock gating.
For the power gating, the logic are separate on multiple row-island, which the power supply of each island could be control over the time.
The power gating could also be apply on memories.
We use cookies and similar technologies for the following purposes:
Do you accept cookies and these technologies?
We use cookies and similar technologies for the following purposes:
Do you accept cookies and these technologies?