sreevenkjan
Full Member level 5
- Joined
- Nov 4, 2013
- Messages
- 268
- Helped
- 27
- Reputation
- 54
- Reaction score
- 26
- Trophy points
- 1,308
- Location
- Germany
- Activity points
- 3,115
[Synth 8-4446] all outputs are unconnected for this instance and logic may be removed ["c:/filter_mytop/filter_mytop.srcs/sources_1/bd/design_filter/ip/design_filter_processing_system7_0_0/hdl/verilog/processing_system7_v5_3_processing_system7.v":2177]
[Synth 8-3295] tying undriven pin reg_8IN_I[11] to constant 0
[Synth 8-3295] tying undriven pin \MU_STATUS[0].mu_tpid_regIN_I[11] to constant 0
[Synth 8-3295] tying undriven pin \CNT_WIDTH_STATUS[0].cnt_width_regIN_I[13] to constant 0
[Constraints 18-549] Could not create 'IBUF_LOW_PWR' constraint because cell 'filter/ila_system/U0/ila_core_inst/u_ila_regs/U_XSDB_SLAVE/xsdb_interface_buffers_inst/SL_IPORT_IBUF[3].temp_buf' is not directly connected to top level port. 'IBUF_LOW_PWR' is ignored by Vivado but preserved inside the database. ["c:/Users/venkatsa/filter_mytop/filter_mytop.srcs/sources_1/ip/ila_0/labtools_xsdb_slave_lib_v2_1/hdl/verilog/xsdb_interface_buffers.v":93]
[Constraints 18-549] Could not create 'SLEW' constraint because cell 'filter/ila_system/U0/ila_core_inst/u_ila_regs/U_XSDB_SLAVE/xsdb_interface_buffers_inst/SL_OPORT_OBUF[3].temp_buf' is not directly connected to top level port. 'SLEW' is ignored by Vivado but preserved inside the database. ["c:/Users/venkatsa/filter_mytop/filter_mytop.srcs/sources_1/ip/ila_0/labtools_xsdb_slave_lib_v2_1/hdl/verilog/xsdb_interface_buffers.v":105]
[Synth 8-3936] Found unconnected internal register 'col_buf_reg' and it is trimmed from '480' to '479' bits. ["C:/Users/venkatsa/filter_mytop/filter_mytop.srcs/sources_1/new/filter_mytop.vhd":145]
[Synth 8-3332] Sequential element (\ila_core_inst/xsdb_memory_read_inst/curr_read_block_reg[0] ) is unused and will be removed from module ila_v3_0_ila.
[Synth 8-3332] Sequential element (\col_buf_reg[473] ) is unused and will be removed from module filter_mytop.
http://forums.xilinx.com/xlnx/board/crawl_message?board.id=SYNTHBD&message.id=8816
Yes. Assign pins to them in the Vivado project. Or connect them to some other logic.
col_buf(0) <= row_out(col_count);
col_buf(479 downto 1) <= col_buf(478 downto 0);
col1 <= col_buf(0);
col2 <= col_buf(1);
col3 <= col_buf(2);
col_out <= col1 or col2 or col3;
Code VHDL - [expand] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.std_logic_textio.all; entity filter_mytop is Port ( clk : in STD_LOGIC; rstn : in STD_LOGIC; data_out : out STD_LOGIC); end filter_mytop; architecture Behavioral of filter_mytop is -- Adding BRAM content COMPONENT blk_img3 PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); rsta : IN STD_LOGIC; addra : IN STD_LOGIC_VECTOR(8 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(479 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(479 DOWNTO 0) ); END COMPONENT; ---- Adding ILA component COMPONENT ila_0 PORT ( clk : IN STD_LOGIC; probe0 : IN STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT ila_0; --signal declarations signal we : std_logic_vector(0 downto 0);--:= "0"; signal pixel_addr : std_logic_VECTOR(8 downto 0):= (others => '0'); signal row,out_pixel : std_logic_vector(479 downto 0):= (others => '0'); -- State machine definitions for filter type filter_state is (pixel_increment,row_filter,col_filter,filter_loop); signal state : filter_state := pixel_increment; -- Array buffer declaration for filter type array_buffer is array(1 to 3) of std_logic_vector(479 downto 0); signal row_buf : array_buffer := (others=>(others=>'0')); --file data:text open write_mode is "data.txt"; -- Signal declarations for filter signal row1,row2,row3 : std_logic_VECTOR(479 downto 0):= (others => '0'); signal row_out,output,col_buf : std_logic_VECTOR(479 downto 0):= (others=>'0'); signal col1,col2,col3,col_out : std_logic := '0'; signal data_ready,label_start : std_logic; signal loop_count : integer range 1 to 4; signal col_count : integer range 0 to 479; -- Signal declarations for ILA signal ila_output : STD_LOGIC_VECTOR(0 DOWNTO 0):= (others=>'0'); begin --Instantiating BRAM. bram : blk_img3 port map( clka => clk, rsta => rstn, wea => we, addra => pixel_addr, dina => out_pixel, douta => row); -- Instantiating ILA ila_system : ila_0 PORT map ( clk => clk, probe0 => ila_output ); -- Filtering Process dilation_filter : process(clk) --variable txt:line; begin if rising_edge(clk) then if rstn = '0' then pixel_addr <= "000000000"; state <= pixel_increment; else case state is when pixel_increment => we <= "0"; pixel_addr <= pixel_addr + "000000001"; if pixel_addr = "111011111" then --report "loop finished"; loop_count <= loop_count + 1; if loop_count = 4 then loop_count <= 1; pixel_addr <= "000000000"; state <= pixel_increment; end if; pixel_addr <= "000000000"; end if; data_ready <= '0'; state <= row_filter; when row_filter => row_buf(1) <= row; row_buf(2 to 3) <= row_buf(1 to 2); row1 <= row_buf(1); row2 <= row_buf(2); row3 <= row_buf(3); row_out <= row1 or row2 or row3; state <= col_filter; when col_filter => col_buf(0) <= row_out(col_count); col_buf(479 downto 1) <= col_buf(478 downto 0); col1 <= col_buf(0); col2 <= col_buf(1); col3 <= col_buf(2); col_out <= col1 or col2 or col3; data_ready <= '0'; state <= filter_loop; when filter_loop => output(col_count) <= col_out; ila_output <= output(col_count downto col_count); if loop_count = 3 then data_out <= col_out; end if; col_count <= col_count + 1; if col_count = 479 then col_count <= 0; we <= "1"; out_pixel <= output; data_ready <= '1'; state <= pixel_increment; else state <= col_filter; end if; end case; end if; end if; end process dilation_filter; end Behavioral;
We use cookies and similar technologies for the following purposes:
Do you accept cookies and these technologies?
We use cookies and similar technologies for the following purposes:
Do you accept cookies and these technologies?