LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
PACKAGE heap_arr_pkg IS
type array_UI is array (natural range <>) of signed (17 downto 0);
END;
USE work.heap_arr_pkg.all;
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
ENTITY comp_heap IS
-- Declarations
GENERIC( data_width : positive := 18;
array_width: positive := 49;
cnt_width : positive := 15);
PORT(
clk : IN std_logic;
rst : IN std_logic;
en : IN std_logic;
addr : IN unsigned (15 downto 0);
heapout: OUT array_UI (array_width downto 0)
);
END comp_heap ;