KfirMaymon84
Newbie
Hello,
I'm writing TFT driver for Basys 3 board (a hobby project),
in my design, I have an array of 8-bit * 28810,
full code line #79
when I'm trying to clear the array I'm getting overflow memory
clear array code:
full code line #113
without code in line #114
I have attached the full code, what I am missing?
thanks for helping,
I notice that every assignment to the array is taking a lot of memory LUT,
way is that?
take more than 50% of the memory.
I'm writing TFT driver for Basys 3 board (a hobby project),
in my design, I have an array of 8-bit * 28810,
full code line #79
Code:
type t_Memory is array (0 to 28810) of std_logic_vector(7 downto 0);
signal memBuffer : t_Memory := (others => (others => '0'));
when I'm trying to clear the array I'm getting overflow memory
clear array code:
full code line #113
Code:
if counter < 28809 then
memBuffer(counter) <= (others => '0');
counter <= counter + 1;
end if;--counter < 28809
without code in line #114
Code:
--memBuffer(counter) <= (others => '0');
I have attached the full code, what I am missing?
thanks for helping,
--- Updated ---
I notice that every assignment to the array is taking a lot of memory LUT,
way is that?
Code:
memBuffer(to_integer(unsigned(memoryAddress))) <= memoryData;
take more than 50% of the memory.
Attachments
Last edited: