Using Verilog testbench with QuartusII.

Status
Not open for further replies.

Aastik

Member level 1
Joined
Oct 8, 2005
Messages
33
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
1,476
quartusiitestbench

Hi all,

Is it possible to use a testbench written in VHDL or Verilog with QuartusII software?
I think they support only waveform files for the simulation. Am i right?
 

yupe... quartus II doesnt support testbench....

search the keyword in their website n u will get tht answer...

no testbench support for quartus II...

sp
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…