testbench for AHB-APB bridge

Status
Not open for further replies.

ravinder.pk

Newbie level 2
Joined
Dec 27, 2010
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,289
does anybody have verilog testbench for AMBA based AHB-APB Bridge?
 

You can generate a default testbench using the following free testbench generator tool-
**broken link removed**
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…