Synthesizing a VHDL recursive module with ISE

Status
Not open for further replies.

Binome

Full Member level 3
Joined
Nov 16, 2009
Messages
153
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Location
Lyon, France
Activity points
2,412
Hi,I have a recursive VHDL module. ISE seems to have problems synthesizing it when the recursion level is big. I tried with Quartus II and it can deal with my module perfectly.

Is that a known problem with ISE? Will that be solved in a future version? Is there a solution?

Thanks.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…