Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

synthesis of module with parameter "n" or `define "n"

Status
Not open for further replies.

manchal

Newbie level 6
Newbie level 6
Joined
Feb 11, 2013
Messages
14
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,483
my code is:
module e8_coltask(aa,bb,clk,result);
`define n 7
// parameter n=7; (n either as define or parameter)
input [`n:0] aa,bb;
input clk;
output [15:0] result;

It gets simulated,and gives correct result,but with synthesis it gives error :
INTERNAL_ERROR:Xst:cmain.c:3483:1.56.16.1 - Process will terminate. For technical support on this issue, please open a WebCase with this project attached at https://www.xilinx.com/support.
I couldn't get it.pls help.
 
Last edited:

The define need to be define out side the module, no?
 
Contact Xilinx as it says. Whether you use a parameter or a define probably isn't the real problem, it's whatever code is in that module.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top