roger
Full Member level 3
vhdl srl
when I use SRL,ROR,... operator in VHDL
modelsim5.8sb shows
# ** Error: ./rtl/SPI_Model.vhd(136): No feasible entries for infix op: "srl".
# ** Error: ./rtl/SPI_Model.vhd(136): Type error resolving infix expression.
# ** Error: ./rtl/SPI_Model.vhd(146): VHDL Compiler exiting
# ** Error: C:/Modeltech_5.8b/win32/vcom failed.
which package should I add,
I have added
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
but failed
when I use SRL,ROR,... operator in VHDL
modelsim5.8sb shows
# ** Error: ./rtl/SPI_Model.vhd(136): No feasible entries for infix op: "srl".
# ** Error: ./rtl/SPI_Model.vhd(136): Type error resolving infix expression.
# ** Error: ./rtl/SPI_Model.vhd(146): VHDL Compiler exiting
# ** Error: C:/Modeltech_5.8b/win32/vcom failed.
which package should I add,
I have added
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
but failed