Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Silicon Realization: A Fundamental Shift in the EDA Industry

Status
Not open for further replies.

ChrisHeggem

Newbie level 3
Newbie level 3
Joined
Nov 2, 2010
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,309
Hello everyone. My name is Chris and I work for Cadence Design Systems.

Earlier this year, we announced a vision for the EDA industry called EDA360 (you can learn more about that here: A Cadence Vision: EDA360*). This vision consists of 3 realizations - Silicon Realization, SoC Realization and System Realization - all fundamental shifts in the way EDA works. Most recently, Cadence has released a new whitepaper detailing Silicon Realization and I wanted to share that with you and get your feedback.

Cadence understands that a deterministic path to silicon cannot be achieved by stitching together isolated point tools in an iterative, sequential design flow. Silicon Realization focuses on increasing productivity, predictability and profitability through a deterministic, interoperable, end-to-end flow that concurrently optimizes for functionality, electrical specifications and physical requirements throughout the entire design process.

This realization is only achieved with a consistent representation of design intent, the appropriate use of accurate models and higher levels of abstraction and the convergence of late-stage design and manufacturing data into the early phases of the design process.

To achieve Silicon Realization with its own products, Cadence has restructured its engineering and product teams to align with the EDA360 vision and is now focused on providing a complete, deterministic end-to-end flow addressing customer needs in mixed-signal design, low power design, Giga-Gate/GHz, verification, IC/SiP co-design and productivity-driven metrics.

To learn more about Silicon Realization and the shift in the EDA industry, download Cadence's new Silicon Realization whitepaper here: Silicon Realization Whitepaper*

Please share your thoughts on the direction of the EDA industry below.
 

Does anyone know the link to the "Plain English Campaign?"
(NEVER known as the PEC)

Perhaps they could share the link with Cadence. :wink:

jack
 

I apologize if my language is confusing. I'd to respond to all of you via PM if you are confused. The whitepaper is fairly straight forward though. Thanks for the feedback! :smile:
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top