rakeshk.r
Member level 2
I am using Quartus II 11.1 version for synthesis. I have included ieee.std_logic_1164.all and ieee.numeric_std.all packages in my vhdl file. I am trying to synthesize a vhdl file which works fine in simulation. I don't understand the reason behind this synthesis error. Could someone help me out with their ideas to solve this error. Thank you. The concerned vhdl line and error report is shown below.
variable mul_reg1 : signed ((2*(data_width))-1 downto 0);
variable rnd_reg1 : signed (data_width -1 downto 0);
line161: rnd_reg1 := mul_reg1((2*data_width)-1 downto data_width)+ mul_reg1(data_width-1); -- where data_width : positive := 18 , declared in Generic.
Performing Synthesis ...
Info: Running Quartus II 32-bit Analysis & Synthesis
.....
Error (10327): VHDL error at comp_x_gen.vhd(161): can't determine definition of operator ""+"" -- found 0 possible definitions
Error: Quartus II 32-bit Analysis & Synthesis was unsuccessful. 1 error, 0 warnings
Error: Peak virtual memory: 330 megabytes
Error: Processing ended: Wed Dec 11 10:53:54 2013
Error: Elapsed time: 00:00:03
Error: Total CPU time (on all processors): 00:00:02
QIS Synthesis completed successfully
variable mul_reg1 : signed ((2*(data_width))-1 downto 0);
variable rnd_reg1 : signed (data_width -1 downto 0);
line161: rnd_reg1 := mul_reg1((2*data_width)-1 downto data_width)+ mul_reg1(data_width-1); -- where data_width : positive := 18 , declared in Generic.
Performing Synthesis ...
Info: Running Quartus II 32-bit Analysis & Synthesis
.....
Error (10327): VHDL error at comp_x_gen.vhd(161): can't determine definition of operator ""+"" -- found 0 possible definitions
Error: Quartus II 32-bit Analysis & Synthesis was unsuccessful. 1 error, 0 warnings
Error: Peak virtual memory: 330 megabytes
Error: Processing ended: Wed Dec 11 10:53:54 2013
Error: Elapsed time: 00:00:03
Error: Total CPU time (on all processors): 00:00:02
QIS Synthesis completed successfully