MSAKARIM
Full Member level 3
I have a memory initialized from text file ,the contents of the text file is binary data
I used LFSR to store this data in my memory with random address
then i need to make some operations on this data then back it to the memory
but the operations not performed and i cant know the error because during compiling no errors appear
this is the code of the operation ( its an embedding operation < call data from memory then exchange one bit from it with one from another data )
__-
during simulation pixelout respond one time at i=0 and be constant
how can i solve this problem!?
I used LFSR to store this data in my memory with random address
then i need to make some operations on this data then back it to the memory
but the operations not performed and i cant know the error because during compiling no errors appear
this is the code of the operation ( its an embedding operation < call data from memory then exchange one bit from it with one from another data )
Code VHDL - [expand] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 entity Embedding_Module is port(clk,rst:in bit; datain :in bit_vector (127 downto 0); PixelOut:out bit_vector (7 downto 0)); end Embedding_Module; architecture st of Embedding_module is component inst_memory port(clk,rst : in bit; inst: OUT bit_VECTOR(7 downto 0));end component; signal PixelIn :bit_vector(7 downto 0); begin G1:inst_memory port map(clk,rst,PixelIn); process (clk) begin if (clk'event and clk='1') then g2:for i in 0 to 127 loop pixelout<= PixelIn(7 downto 1) & datain(i); end loop; end if; end process; end st;
__-
during simulation pixelout respond one time at i=0 and be constant
how can i solve this problem!?
Last edited by a moderator: