Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Port connections in XPS

Status
Not open for further replies.

spman

Advanced Member level 4
Full Member level 1
Joined
Aug 15, 2010
Messages
113
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
2,061
Hi,

I'm new to XPS.
I've designed and imported a module into an XPS project. My module has an input clock signal in addition to PLB clock. This clock should be connected to the output of clock generator I have added. But the problem is when I want to connect the clock port of my module, I can't find output of clock generator in system assembly view.
I think perhaps this is because of classification of signals. The clock port of my module hasn't any class in system assembly view! But the class of clock generator output is "CLK".
I tried to change the class of my clock port when I was importing the module with "Create or Import Peripheral" tool. But the class was not changed. Also I tried by adding SIGIS=CLK to the MPD file. But it didn't work too.
Please help me.
Thanks in advance
 

i guess you need to put the following lines in your code also :
Code:
[syntax=vhdl]
attribute SIGIS : string; 
attribute SIGIS of my_clk : signal is "Clk";
[/syntax]
 
i guess you need to put the following lines in your code also :
Code:
[syntax=vhdl]
attribute SIGIS : string; 
attribute SIGIS of my_clk : signal is "Clk";
[/syntax]

Yes! It works!

Is changing the PMD file illegal?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top