Pass VCS filelists into Synopsys SpyGlass

Status
Not open for further replies.

r1caw ex ua6bqg

Member level 2
Joined
Jun 27, 2017
Messages
44
Helped
0
Reputation
0
Reaction score
0
Trophy points
6
Activity points
403
Hi all,
I have RTL project; for VCS simulation I have a set of VCS-style *.f filelists with includes, include directories and RTL files with path to them, smth like this:

Code:
## general filelist

################
################
+incdir+${ROOT_DIR}/dir1
+incdir+${ROOT_DIR}/src/dir2

################
################
${ROOT_DIR}/dir1/include1.svh
${ROOT_DIR}/src/dir1/include1.svh
${ROOT_DIR}/dir2/include2.svh

################
################
${ROOT_DIR}/src/dir3/file1.sv
${ROOT_DIR}/src/dir3/file2.sv
${ROOT_DIR}/src/dir4/file3.sv
${ROOT_DIR}/src/dir4/file4.sv

Is it possible to pass all this information into Synopsys SpyGlass automatically in style of VCS-command (without GUI!) like:

Code:
vcs -full64        -sverilog          \
                    -timescale=1ns/1ps \
                    +define+RTL
                    -f ./unclude_dirs.f   \
                    -f ./unclude_files.f   \
                    -f ./RTL_files.f   \
                    -l build.log

Or maybe it is possible to do it inside sg_shell in TCL-mode (without parsing each string inside each file or creating SV-like `include filelists from these VCS-style filelists)?
 
Last edited:

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…