OVM and UVM runs on vcs

Status
Not open for further replies.
for uvm you have to add the option +ntb_opts uvm so the comand will look something like :
Code:
vcs -sverilog +ntb_opts uvm <your files>
in 1 of your files you also have to add :
Code:
import uvm_pkg::*;
`include "uvm_macros.svh"
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…