output port with clock

Status
Not open for further replies.

hossam abdo

Full Member level 2
Joined
Mar 13, 2011
Messages
122
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,298
Location
Alexandria, Egypt, Egypt
Activity points
2,064
in vhdl code
i want to out certain output at the same port with each rising edge of clock
but this can't be synthesized.
what can i do?!
thanks
 

Can you please elaborate your issue
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…