[SOLVED] No latch inferred how do I get rid of this problem ?

Status
Not open for further replies.
X

Xenon02

Guest
Hello !
I have a problem because I can't use yosys to sythesize this code. Whenever I try to do it this appears :


I don't know where are the latches in my code
Here is the code :



Can someone help me with this ?
 

Solution
The warning are related to the unshown module code, so we can hardly guess where the compiler is expecting latches.
An obvious fault is however to overwrite the module result in the always_comb section
Code:
s_y_cmp = '0;
s_y_sub = '0;
// etc

Deleting the erroneous assignments might also remove the warnings.
The warning are related to the unshown module code, so we can hardly guess where the compiler is expecting latches.
An obvious fault is however to overwrite the module result in the always_comb section
Code:
s_y_cmp = '0;
s_y_sub = '0;
// etc

Deleting the erroneous assignments might also remove the warnings.
 

Solution
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…