Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Multiple definition of state in a single timing in VCD file

Kenny0820

Newbie
Newbie level 4
Joined
Jul 19, 2024
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
15
Hi all, I encountered a weird problem, shown in figure below, why does my VCD file have two states in a timing #200000 for a symbol "(" ?
This VCD file is dump by VCS, with zero delay instruction shown below.
vcs -v -R -debug_all -sverilog -full64 +delay_mode_zero +nospecify +notimingcheck $library_path $verilog_file_path $testbench_file_path

And here is my testbench:
Code:
timescale 1ns / 1ps

`delay_mode_zero

module c17_tb;

reg N1,N2,N3,N6,N7;

wire N22,N23;

c17 uut(.N1(N1), .N2(N2), .N3(N3), .N6(N6), .N7(N7), .N22(N22), .N23(N23));

initial begin
    $dumpfile("./c17_waveform.vcd");
    $dumpvars(0, c17_tb);

     N1 = 0;
     N2 = 0;
     N3 = 0;
     N6 = 0;
     N7 = 0;

    repeat (100000) begin
        #1;
        N1 = $random % 2;
        N2 = $random % 2;
        N3 = $random % 2;
        N6 = $random % 2;
        N7 = $random % 2;
    end

    #10;
    $finish;

end

endmodule

1723453869782.png
 
Last edited by a moderator:

LaTeX Commands Quick-Menu:

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top