[ModelSim-VHDL] How to see local variables in procedure in the waveform?

Status
Not open for further replies.

ivlsi

Advanced Member level 3
Joined
Feb 17, 2012
Messages
883
Helped
17
Reputation
32
Reaction score
16
Trophy points
1,298
Activity points
6,868
Hi All,

How can I see variables, which are locally defined in the VHDL procedures, in the waveform? Somehow I cannot see nor the procedures themselves nor their variables.

Thank you!
 

Attachments

  • 1.PNG
    48.5 KB · Views: 159

Hey ! You cant see the variables in the wavefrom window.But assigning it to a local signal helps you to see the events caused in it.
Hope this helps !!
Thanks.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…