Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Modelsim: a problem with vhdl license

Status
Not open for further replies.

mimiza

Junior Member level 2
Junior Member level 2
Joined
Mar 25, 2012
Messages
21
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,426
Hello, I'm using modelsim to simulate a simple counter project, but it return the following error:
# ** Error: Failure to obtain a VHDL simulation license.
# Error loading design

I verified the license and it work perfectly. Can any one help me please.
Thank you.
 

Have you set the environment variables MGLS_LICENSE_FILE and LM_LICENSE_FILE? both variables must contain the path and name of the file: eg MGLS_LICENSE_FILE=c:\licenses\model.txt or something similar.
 

Hi chipseller, thank you for your response, yes I set the environment variables MGLS_LICENSE_FILE and LM_LICENSE_FILE, but the error persists. Modelsim work and he gives no error when I launch it, he compile the project but when I simulate he returns the error.
 

I have this same problem. I am using the Altera Modelsim version and the quartus license gets served just fine, but the alteramtivsim license is unabled to be checked out. lmstat shows that it is fine and the server log reports no errors. Just when your run vsim it will report it can't get the VHDL simulation license.
 

Hello, I'm using modelsim to simulate a simple counter project, but it return the following error:
# ** Error: Failure to obtain a VHDL simulation license.
# Error loading design

I verified the license and it work perfectly. Can any one help me please.
Thank you.

How did you verify that license works perfectly? Presumably this means running Mentor's licensing wizard which detects and reports those types of things.

You might also check using Windows Task Manager to see if there is still a 'vsimk' process running. This process starts up when you start the simulator. If your previous run ended abnormally, sometimes vsimk doesn't get killed. Then when you restart Modelsim and try to start a sim it gives the error you report since the license software sees 'vsimk' running and that you want to start a second instance but you're not licensed to do so.

Kevin Jennings
 

I solved my version of the problem. The issue is that the mgcld available through the license download section of altera's website is version 10.8, but the modelsim S/W seems to require v11.6. I discovered this issue and the location from this forum: https://www.alteraforum.com/forum/showthread.php?t=34677

Pertinent post there is:


I think you can find the mgcld 11.6 in quartus II installation directory also:

Windows: <Quartus II installation path>\modelsim_ae\win32aloem\mgcld.exe
Linux: <Quartus II installation path>/modelsim_ae/linuxaloem/mgcld
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top