[SOLVED] Library- Package Problem in VHDL

Status
Not open for further replies.

hitx

Member level 2
Joined
Mar 16, 2007
Messages
49
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
1,723
Hi my friend,

OK. I have read fixed_point User guide, and there is nothing wrong here. I have download "fixed_float_types_c.vhdl" and "fixed_pkg_c.vhdl" files. But I have a problem for obtaining library that contains these packages. I have done every possible situation to compile these package into "ieee_proposed", but never managed. I guess I do not know exactly what should be done. I am doing like this :

1. Open Xilinx Project Navigator, create a new file.
2. Then create VHDL library.
3. Open fixed_float_types_c.vhdl" and "fixed_pkg_c.vhdl" files as added source in main vhd menu.
4. Then from library section, move these packages into new library I created.

Am I right? Please, someone helps me. Bye
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…