srisrisri
Junior Member level 3
hello everybody
i am facing a problem with type array of array. below
i have given a simplified version of the problem
--package my_pak
type my_typ1 is array (0 to 1) of std_logic;
type my_typ2 is array (0 to 1) of my_typ1;
--entity declaration
use work.my_pak.all;
entity ....
port(
out_put : out my_typ2;
....);
end entity;
the problem here is, after synthesis, the generated
netlist is having port in this manner.
out_put : std_logic_vector(3 downto 0);
can anybody clear me as to in which manner the port
bits are rearranged. and another thing is one
synthesis tools has the port as (3 downto 0) and
another has it as (0 to 3). can we some how over ride
so that we can have the port in same manner as that of
original code so that the same test bench can be used
without any modification.
with warm regards,
Srisrisri
i am facing a problem with type array of array. below
i have given a simplified version of the problem
--package my_pak
type my_typ1 is array (0 to 1) of std_logic;
type my_typ2 is array (0 to 1) of my_typ1;
--entity declaration
use work.my_pak.all;
entity ....
port(
out_put : out my_typ2;
....);
end entity;
the problem here is, after synthesis, the generated
netlist is having port in this manner.
out_put : std_logic_vector(3 downto 0);
can anybody clear me as to in which manner the port
bits are rearranged. and another thing is one
synthesis tools has the port as (3 downto 0) and
another has it as (0 to 3). can we some how over ride
so that we can have the port in same manner as that of
original code so that the same test bench can be used
without any modification.
with warm regards,
Srisrisri