Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

input

kimilee

Newbie
Newbie level 1
Joined
Sep 7, 2024
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
17
In the timing summary report, I see that there is no in2out path reported (N/A).
Then I do report_timing -path_group in2out and it shows unconstrained too.

I did set_input_delay and set_output_delay to all input and output ports relative to the main clk.

If that is the case, how can I determine if there is really any input port -> combo logic -> output port in the design,
since report_timing keeps reporting it as unconstrained.
 

LaTeX Commands Quick-Menu:

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top