illegal_bins in Functional coverage?

Status
Not open for further replies.

mssajwan

Full Member level 1
Joined
May 19, 2006
Messages
95
Helped
12
Reputation
24
Reaction score
2
Trophy points
1,288
Location
Banaglore
Activity points
1,798
Hello Friends,

I have a doubt about the coverage point.

Can we define illegal_bins = default in a coverpoint.

Suppose i have a one vector reg [2:0] check;
Can i write the coverpoint like this
coverpoint check{
bins check_value[] ={3'b001,3,b010,3,b100};
illegal_bins = default;
}
I want to display the error message anytime illegal bin occurs. If this is not possible
then what can be a possible workaround?
If illegal_bins can not be defined as default then what about ignore_bins? Can they be defined as default?


Thanks
Manmohan
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…