How To Convert VERILOG FILE TO VHDL FILE

Status
Not open for further replies.

khamitkar.ravikant

Member level 4
Joined
Jul 15, 2008
Messages
78
Helped
6
Reputation
12
Reaction score
2
Trophy points
1,288
Location
India
Activity points
1,798
hi all
i am in need of the software or method for converting verilog to vhdl
does anybody know how to convert the same
please please
let me know.

thanks in advance
 

for me i am using HDL Designer from Mentor Graphics:
first i convert my verilog code to diagram(block diagram or state machine or flow chart) then i compile this diagram to vhdl.
i hope that works with you.
 
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…