mrflibble
Advanced Member level 5
- Joined
- Apr 19, 2010
- Messages
- 2,720
- Helped
- 679
- Reputation
- 1,360
- Reaction score
- 652
- Trophy points
- 1,393
- Activity points
- 19,551
Amusing how these things & thought processes work, because while I was reading the above I was thinking "mmmh, he (talkyztalky) had better be damn sure that his simulation actually reflects the latest sources". Because recently I had a fun little puzzle that was 100% my own fault, but annoying nonetheless. And the root cause was that I didn't delete all the old intermediate results.Well there is nothing wrong with the vlog compilation. Did you post this snippet of the transcript because of the warnings? The warning is not a problem it only signifies that you probably didn't stop the previous simulation so that it released the wlf file. Exiting Modelsim completely and delete all the wlf files will allow Modelsim to open the default vsim.wlf.
What is the vsim command line look like, it appears that it was left out of your post?
Yup, exactly that! Throw away the "work" directory & then re-run simulation. Otherwise you may be seeing old results.I don't know if you are running the VHDL & Verilog simulations in the same directory, but I would probably try deleting the work library and recreate it, so you start fresh with only the verilog modules complied into the work library. This at a minimum will ensure you aren't using some old module or picking up something compiled for VHDL.
If there are no warnings from vsim after the clean reparse/compile, then it looks like you will have to debug it by tracing the signals back. It's very suspicious that the Verilog simulation is filled with Zs. I would trace the clock back through the hierarchy and try to find out what is stopping it from being generated.
please find attached zip file, those are the screenshots of all the steps, with the endit block removed and work directory removed.
Good call. I did notice the ZZZ signal in the testbench, but that was hardly unique given all the Z's. But that warning message is a pretty good hint.dd_example_top_tb.v seems to have a instantiated module that is missing a reset.
Are you saying the simulation hangs at 0 ns? or do you mean something else?while if i compile all files the simulation doesn't start
these 2 images from modelsim, one using vhdl and the other using verilog, does the difference in colors mean anything? (signals compilation or anything else)
vsim -voptargs=+acc work.dd
# vsim -voptargs=+acc work.dd
# Loading std.standard
# Loading std.textio(body)
# Loading ieee.std_logic_1164(body)
# Loading work.dd(syn)
# Loading ieee.numeric_std(body)
# Loading ieee.std_logic_arith(body)
# Loading ieee.std_logic_unsigned(body)
# Loading altera.altera_europa_support_lib(body)
# Loading altera_mf.altera_mf_components
# Loading work.dd_controller_phy(europa)
# Loading sgate.sgate_pack(body)
# Loading work.dd_alt_mem_ddrx_controller_top(rtl)
# Loading altera_mf.altera_common_conversion(body)
# Loading altera_mf.altera_device_families(body)
# Loading altera_mf.altsyncram(translated)
# Loading ieee.std_logic_signed(body)
# Loading sgate.oper_add(sim_arch)
# Loading sgate.oper_decoder(sim_arch)
# Loading sgate.oper_left_shift(sim_arch)
# Loading sgate.oper_less_than(sim_arch)
# Loading sgate.oper_mux(sim_arch)
# Loading sgate.oper_selector(sim_arch)
# Loading altera_mf.scfifo(behavior)
# Loading ieee.vital_timing(body)
# Loading ieee.vital_primitives(body)
# Loading altera.dffeas_pack
# Loading altera.altera_primitives_components
# Loading cycloneiv.cycloneiv_atom_pack(body)
# Loading cycloneiv.cycloneiv_components
# Loading work.dd_phy(rtl)
# Loading altera_mf.altddio_bidir(struct)
# Loading altera_mf.altddio_in(behave)
# Loading altera_mf.altddio_out(behave)
# Loading cycloneiv.cycloneiv_ddio_oe(arch)
# Loading altera.dffeas(vital_dffeas)
# Loading cycloneiv.cycloneiv_mux21(altvital)
# Loading cycloneiv.cycloneiv_ddio_out(arch)
# Loading cycloneiv.cycloneiv_latch(vital_latch)
# Loading cycloneiv.cycloneiv_routing_wire(behave)
# Loading cycloneiv.cycloneiv_io_ibuf(arch)
# Loading cycloneiv.cycloneiv_io_obuf(arch)
# Loading work.dd_phy_alt_mem_phy_pll(syn)
# Loading altera_mf.mf_pllpack(body)
# Loading altera_mf.altpll(behavior)
# Loading altera_mf.mf_cycloneiiigl_pll(vital_pll)
# Loading altera_mf.mf_stingray_mn_cntr(behave)
# Loading altera_mf.mf_stingray_post_divider(behave)
# Loading altera_mf.mf_stingray_scale_cntr(behave)
# Loading work.dd_phy_alt_mem_phy_seq_wrapper(rtl)
# Loading work.dd_phy_alt_mem_phy_record_pkg(body)
# Loading work.dd_phy_alt_mem_phy_constants_pkg
# Loading work.dd_phy_alt_mem_phy_regs_pkg(body)
# Loading work.dd_phy_alt_mem_phy_iram_addr_pkg(body)
# Loading work.dd_phy_alt_mem_phy_addr_cmd_pkg(body)
# Loading work.dd_phy_alt_mem_phy_seq(struct)
# Loading work.dd_phy_alt_mem_phy_admin(struct)
# Loading work.dd_phy_alt_mem_phy_dgrb(struct)
# Loading work.dd_phy_alt_mem_phy_dgwb(rtl)
# Loading work.dd_phy_alt_mem_phy_ctrl(struct)
# Loading sgate.tri_bus(sim_arch)
vsim -voptargs=+acc work.dd
# vsim -voptargs=+acc work.dd
# Loading work.dd
We use cookies and similar technologies for the following purposes:
Do you accept cookies and these technologies?
We use cookies and similar technologies for the following purposes:
Do you accept cookies and these technologies?