talkyztalky
Junior Member level 2
- Joined
- Mar 18, 2015
- Messages
- 20
- Helped
- 0
- Reputation
- 0
- Reaction score
- 0
- Trophy points
- 1
- Activity points
- 134
hello
im trying to implement a ddr2 controller using altmemphy and i have some questions, can someone help me please?
the weird thing is that i included all the librairies needed, and i am not using mixed vhdl, one language at a time.
That means you'll have to debug the example design to find why the clocks don't start up. As a lot of the signals in the Verilog version are Z that might indicate the design hasn't even exited reset and or initialization.in the testbench and other sources it's 1ps/1ps
You don't hijack a thread you start a new one.talkyztalky said:i'm sorry again but i didn't find another thread similar to the difficulity i'm facing, and you are right there's a verilog wrapper,
my last question please, does that mean that i can't simulate it? or even use it on hardware?
thank you very much for your time and sorry again
// this part from testbench.vhd
endit : process
variable count : integer := 0;
variable ln : line;
begin
-- Stop simulation after test_complete or TINIT + 600000 clocks
while ((count < (TINIT_CLOCKS+600000) ) and (test_complete /= '1')) loop
count := count + 1;
wait until clk_to_sdram(0)'event and clk_to_sdram(0) = '0';
end loop;
if (test_complete = '1') then
if (pnf = '1') then
write(ln, now);
write(ln, string'(" --- SIMULATION PASSED --- "));
writeline(output, ln);
ASSERT false REPORT "--- SIMULATION PASSED ---" SEVERITY FAILURE ;
else
write(ln, now);
write(ln, string'(" --- SIMULATION FAILED --- "));
writeline(output, ln);
ASSERT false REPORT "--- SIMULATION FAILED ---" SEVERITY FAILURE ;
end if;
else
write(ln, now);
write(ln, string'(" --- SIMULATION FAILED, DID NOT COMPLETE --- "));
writeline(output, ln);
ASSERT false REPORT "--- SIMULATION FAILED, DID NOT COMPLETE ---" SEVERITY FAILURE ;
end if;
wait;
end process;
// // this part from testbench.v
initial
begin : endit
integer count;
reg ln;
count = 0;
// Stop simulation after test_complete or TINIT + 600000 clocks
while ((count < (TINIT_CLOCKS + 600000)) & (test_complete !== 1))
begin
count = count + 1;
@(negedge clk_to_sdram[0]);
end
if (test_complete === 1)
begin
if (pnf)
begin
$write($time);
$write(" --- SIMULATION PASSED --- ");
$stop;
end
else
begin
$write($time);
$write(" --- SIMULATION FAILED --- ");
$stop;
end
end
else
begin
$write($time);
$write(" --- SIMULATION FAILED, DID NOT COMPLETE --- ");
$stop;
end
end
# vlog -vlog01compat -work work +incdir+. {top.vo}
# Model Technology ModelSim ALTERA vlog 10.1d Compiler 2012.11 Nov 2 2012
# -- Compiling module dd_example_top
add wave -position insertpoint sim:/dd_example_top_tb/dut/dd_inst/*
# ** Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf
#
# File in use by: Administrator Hostname: DELL12 ProcessID: 7428
#
# Attempting to use alternate WLF file "./wlfticrrcm".
# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf
#
# Using alternate file: ./wlfticrrcm
#
#
# Top level modules:
# dd_example_top
#
add wave -position insertpoint sim:/dd_example_top_tb/dut/driver/*
run 100000
#
# **********************************************************************
# This testbench includes a generated Altera memory model:
# 'dd_mem_model.v', to simulate accesses to the DDR2 SDRAM memory.
#
# **********************************************************************
run 100000
We use cookies and similar technologies for the following purposes:
Do you accept cookies and these technologies?
We use cookies and similar technologies for the following purposes:
Do you accept cookies and these technologies?