Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to assign pins using Xilinx software?

Status
Not open for further replies.

senthilkumar

Advanced Member level 1
Advanced Member level 1
Joined
Nov 24, 2001
Messages
401
Helped
27
Reputation
54
Reaction score
19
Trophy points
1,298
Location
india
Activity points
3,965
how will i assign pin??

hai,

iam using xilinx s/w.


simply i design the anmd gate.

after compile then i want assign the pin like


a========1
b==========2
c==========3


just example.

i go for peace

but i dont know how assign

any help
 

Re: how will i assign pin??

In Xilinx WEBPack 5.1:
- synthesize and implement your project
- in User Constraints group you have Assign Package pins option
- assign pins (look at the input, output, global CLK groups)
- save and exit
- implement design again
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top