satyandra.sharad
Newbie level 1
shall i use generic shift register as n samples delay element, if no then how can i write vhdl code for n samples delay element
Follow along with the video below to see how to install our site as a web app on your home screen.
Note: This feature may not be available in some browsers.
process(clk)
begin
if rising_edge(clk) then
a <= a(a'high-1 downto 0) & ip;
end if;
end process;