tomsld
Newbie level 6
- Joined
- Nov 26, 2013
- Messages
- 13
- Helped
- 0
- Reputation
- 0
- Reaction score
- 0
- Trophy points
- 1
- Location
- EU
- Activity points
- 143
The time multiplexing of one neuron is effective only if whole structure contains the same kind on neurons.
But when i want to automatic implement any equation which has N multiplication, M summation, K activations (look-ups), J delays having constrained resources for them, then, i think, such a program is required.
Thanks. I understood already. The MAC really not care about incoming data, we can write own processes to deal with any kind of neuron.Why do you think that?
I tried to implement all calculations in single process using variables only. And I'am surprised that independent on the order M the y is computed in one clk. I'm misunderstand something, how the circuit chain *+*+*+ ... can be synthesized to calculate the y in a clock? Checked it on simulation and on Zynq. Results are same. From the PS side i give the x, theta, v values for PL and enable the process for one clk only. Then check the y, and it is correct.
So, I'm confused and I can't believe that the chain (DSP, SUM, DSP, SUB, ...) can give result in a one clock.
a long arithmatic chain can easily be completly in a single clock cycle. The longer the chain, the slower the maximum frequency in a real world system.
So, the question here is - what is your code, and what is your clock speed?
process (clk)
variable a,b : signed(3 downto 0);
variable c,d : signed(7 downto 0);
variable e : signed(8 downto 0);
begin
if rising_edge(clk) then
a := in_a;
b := in_b;
c := in_c;
d := a * b;
e := c + d;
end if;
end process;
We use cookies and similar technologies for the following purposes:
Do you accept cookies and these technologies?
We use cookies and similar technologies for the following purposes:
Do you accept cookies and these technologies?