frequency Divider in verilog

Status
Not open for further replies.

muneebziaa

Newbie level 5
Joined
Sep 13, 2012
Messages
8
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,359
Hi,

I have a frequency of 24.5 MHz, and i want to divide it with 2 or can say i want a frequency of 12.288 MHz, how can i do it in verilog...??? Can any one help me??

Regards,
Muneb
 


hope u got the idea now..design d flipflop in verilog..
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…