Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

fixed-point Pipelined FFT processor Precision for each stage

Status
Not open for further replies.

sunilverma

Newbie level 3
Newbie level 3
Joined
Jun 1, 2008
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,324
pipelined fft

HI everybody
I designed a fixed-point 128-point Pipelined FFT processor, and determined precision for each of the stage, for 1/N scaling eith input samles range (-2, 2)i got that there is progressive increase in the fractional part precision i.e
2.8, 3.8, 3.9, 3.9, 3.10, 3.10
where integer digit shows the integer precision in bits and similarly the fraction digit.
and in case of 1/sqrt(N) scaling I got the precision
4.7, 4.7,............4.7
i.e. 11 bits of precision for each stage, why there is no increase in the stage precision in this case.
these results are for 45db of SNR target at 0dB papr
can anybody help me ?
Thanks in advance.
 

Re: fixed-point Pipelined FFT processor Precision for each s

Can you plz give me the code for fixed point fft. i did fixed point fft.but when comparing with matlab result there is a lot of noises present in the output. i did the testing for 32-point fft. can u plz look dat and kindly reply me.. whether it is correct or not. i did in pipelined manner.. did code for two-point fft and its instantiated. i have attached the code and waveform.plz help me.

Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.std_logic_signed.all;
Entity fft_2 is
port(
clk : in std_logic;
wre : in std_logic_vector(15 downto 0);
wim : in std_logic_vector(15 downto 0);
are : in std_logic_vector(15 downto 0);
aim : in std_logic_vector(15 downto 0);
bre : in std_logic_vector(15 downto 0);
bim : in std_logic_vector(15 downto 0);
xre : out std_logic_vector(15 downto 0);
xim : out std_logic_vector(15 downto 0);
yre : out std_logic_vector(15 downto 0);
yim : out std_logic_vector(15 downto 0)
);
End fft_2;
Architecture twopointfft of fft_2 Is
signal cre : std_logic_vector(31 downto 0);
signal cim : std_logic_vector(31 downto 0);
signal dim : std_logic_vector(31 downto 0);
signal dre : std_logic_vector(31 downto 0);
signal ere : std_logic_vector(31 downto 0);
signal eim : std_logic_vector(31 downto 0);
signal ere1 : std_logic_vector(15 downto 0);
signal eim1 : std_logic_vector(15 downto 0);


Begin
Process(clk)
Begin

If(clk='1' and clk'event)then
cre <= bre * wre;
cim <= bre * wim;
dim <= wre * bim;
dre <= bim * wim;
ere <= cre - dre;
eim <= cim + dim;
ere1 <= ere(31 downto 16);
eim1 <= eim(31 downto 16);
xre <= are + ere1;
xim <= aim + eim1;
yre <= are - ere1;
yim <= aim - eim1;
End If;
End Process;
End twopointfft;
this is two point fft i did..
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top