fixed point package Xilinx

Status
Not open for further replies.

blach100

Junior Member level 3
Joined
Jul 15, 2011
Messages
30
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,483
hello

as I'm beginner in VHDL lang,
I want to use a fixed point representation in my code, I searched about it, and I found that I should download some packages.
In which Xilinx folder should I copy this package?

thank you.
 
Last edited:

Hello TrickyDicky
I have included it into my project,but currently I faced errors on the body package.It would be great if you can help me to solve this problems
 

did you download the xilinx compatible version?

- - - Updated - - -

And you need to read the documentation for the XIlinx version:

Things Xilinx m11.1i didn't like about these packages:
1) 'instance_name showed as a syntax error, replace with package name
2) "to_stdlogicvector(to_suv(arg))" shows as a type conversion error, replace
with casting "std_logic_vector()"
3) Did not like any of the fixed point division routines,
had to comment them out.
4) Had to comment out the "?=" routines, XST could not deal with that syntax.
 

i'm on Xilinx ISE design suite 14.1

1) 'instance_name showed as a syntax error, replace with package name
report fixed_pkg'instance_name
I replaced it by ieee_proposed,
report fixed_pkg'ieee_proposed

and it gives me :
Line 2038. Undefined symbol 'ieee_proposed'.
 

ieee_proposed is not an attribute. So you need to replace fixed_pkg'ieee_proposed with "ieee_proposed"

- - - Updated - - -

or if you download the Xilinx version of the library available from vhdl.org/fphdl you wont need to change anything because its already been done.
 
hey TrickyDicky, you really rock )))))

I've just downloaded that file from vhdl.org/fphdl which was Tested with Xilinx M12.4i,
and it works without any change in it.

thank you so much Mr.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…