TXY-007
Newbie level 5
Dear all,
I extracted an inverter's HSPICE netlist with the write_spice_deck instruction from PT, and then used HSPICE and PT to perform delay analysis on the inverter. But for the propagation delay of the inverter, the result obtained by PT is much larger than that of HSPICE. I would like to know how to solve this issue.
This is the tcl script I execute in PT. The transistor models and subcircuits I used are all from Nangate 45nm open source library.
Thanks a alot.
Best,
XY
I extracted an inverter's HSPICE netlist with the write_spice_deck instruction from PT, and then used HSPICE and PT to perform delay analysis on the inverter. But for the propagation delay of the inverter, the result obtained by PT is much larger than that of HSPICE. I would like to know how to solve this issue.
This is the tcl script I execute in PT. The transistor models and subcircuits I used are all from Nangate 45nm open source library.
Code:
set library_name NangateOpenCellLibrary
set link_library [list * ./Nangate_synthetic_lib.db]
read_verilog INV_bench.v
current_design "INV_bench"
link_design
set_max_area 0.53
set CLK_PERIOD 5
set CLK "A"
create_clock -period $CLK_PERIOD [get_ports $CLK]
set_clock_transition -rise 0.003 [get_clocks $CLK]
set_clock_transition -fall 0.003 [get_clocks $CLK]
set_max_delay 0.1 -from A -to ZN
write_spice_deck -output my_output.sp -header model.sp -logic_one_name VDD -logic_one_voltage 1.1 -logic_zero_name VSS -logic_zero_voltage 0 -sub_circuit_file inverter.sp [get_timing_paths -from A -to ZN]
report_timing
quit
Thanks a alot.
Best,
XY