Exciting multiple ports all together in CST Microwave Studio

Status
Not open for further replies.

at.sdsu

Newbie level 5
Joined
Mar 11, 2009
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,330
Hi guys,
So im designing a horn antenna for beam scanning. I have 5 rectangular waveguide ports in the horn antenna. I was able to complete everything on HFSS, but now in CST i'am not able to figure out how to excite all the 5 ports at once in varying amplitudes. The design i simulated in CST shows the 3D plots at different frequencies for the 5 ports separately. I however want to combine the modes propagated from the ports so as to achieve beam scanning. Help me guys, i'am stuck up big time.
 

Re: Exciting multiple ports all together in CST Microwave St

hai to exite all ports go to the transient solver in that simulation settings--> source type----> selection---> exicitation ----> activated simultaneous excitation
 
Reactions: hamidrezakarami

    at.sdsu

    Points: 2
    Helpful Answer Positive Rating
    V

    Points: 2
    Helpful Answer Positive Rating

    hamidrezakarami

    Points: 2
    Helpful Answer Positive Rating
Re: Exciting multiple ports all together in CST Microwave St

Thanks for the help James,
So i basically want to vary the power amplitude in the different ports to observe the change in radiation pattern. On reading your post, i was able to change the amplitudes in the ports but its simulating the structure again. Shouldn't this be a part of post-processing which should not involve simulating again, like we do in HFSS, once the simulation is complete we can change the amplitude etc and we get prompt results. Am i doing something wrong in CST to generate the radiation pattern? Let me know. You help and time is appreciated.
 

Re: Exciting multiple ports all together in CST Microwave St

hai if u r using antenna array then in the farfield results right click and select property and choose array antenna there u can change amplitude and phase
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…