Errors in simulating verilog models in ModelSim

Status
Not open for further replies.

Vonn

Full Member level 4
Joined
Oct 6, 2002
Messages
230
Helped
3
Reputation
6
Reaction score
1
Trophy points
1,298
Activity points
2,458
simprim?

Iam using Xilinx tool ISE6.1 and linked ModelSim SE 6.1a to Xilinx tool
as my simulator tool , now I have 4 icons in my process view in ISE ,
Simulate behavioural model ,
simulate post translate verilog model ,
simulate post map verilog model ,
and simulate post P&R verilog model

when i click any of these icons the modelsim starts ... for the first
one (simulate behavioural model it works correctly) but for the other
three the mdelsim gives me an error :

** Error: (vsim-19) Failed to access library 'simprims_ver' at
"simprims_ver".
# No such file or directory. (errno = ENOENT)

I searched over xilinx directory and found directory called
'simprims_ver' , so I map this directory into the modelsim.ini file ,
now the error message :

** Error: (vsim-25) Invalid ModelSim library "my directory path". No
_info file found.
# No such file or directory. (errno = ENOENT)

when I opend this directory , I realy couldn't find _info file ??

can you give me a hand , thanx
 

Re: simprim?

simprim is the simulation primitives library. U can find it in xilinx folder in your system.U compile it and add to ur project.

Added after 10 minutes:

U need to compile the simprim codes to have _info file .U'll have that in ur work library then.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…