Sorry about my late for answering.
I tryed put the .db file in the same directory that i invoke dc_shell but the same error occurred.
I'll put the code i'm using on .synopsys_dc.setup:
Code:
set search_path [list ../../XFAB018 ../RTL /DB_SV]
set target_library [list D_CELLSL_LP3MOS_typ_1_80V_25C.db]
set link_library [list {*} D_CELLSL_LP3MOS_typ_1_80V_25C.db]
set symbol_library [list D_CELLSL.sdb]
#set physical_library [list .pdb]
define_name_rules BORG -allowed {A-Za-z0-9} -first_restricted "_" -last_restricted "_" -max_length 30 -map {{"*cell*", "mycell"}, {"*-return", "myreturn"}}
set bus_naming_style %s[%d]
set verilog_no_tri true
set verilogout_show_unconnected_pins true
set test_default_scan_style multiplexed_flip_flop
And here are the code i'm using to make the synthesis of top levels and top block:
Code:
set active_design fulladder_npp_2Bits
set sub_modules {fulladder fulladder_npp}
foreach module $sub_modules {
set syn_db $module.db
read_db $syn_db
}
analyse -format sverilog $active_design.sv
elaborate $active_design
current_design $active_design
link
uniquify
set_wire_load_mode enclosed
[B]#create_clock -period 65 -waveform [list 0 32.5] clk
#set_clock_latency 2.0 [get_clocks clk]
#set_clock_uncertainty -setup 3.0 [get_clocks clk]
#set_clock_transition 0.1 [get_clocks clk]
#set_dont_touch_network [list clk reset][/B]
set_driving_cell -cell BTHCLX8 [all_inputs]
[B]#set_drive 0 [list clk reset][/B]
[B]#set_input_delay 20.0 -clock clk -max [all_inputs]
#set_output_delay 10.0 -clock clk -max [all_outputs][/B]
[I]set_input_delay 20.0 -max [all_inputs]
set_output_delay 10.0 -max [all_outputs][/I]
set_max_area 0
set_fix_multiple_port_nets -all -buffer_constants
compile -scan
remove_attribute [find -hierarchy design {"*"}] dont_touch
current_design $active_design
uniquify
check_test
create_test_patterns -sample 10
preview_scan
insert_scan
check_test
compile -only_design_rule
remove_unconnected_ports [find -hierarchy cell {"*"}]
change_names -hierarchy -rules BORG
set_dont_touch $active_design
write -hierarchy -output $active_design.db
write -format verilog -hierarchy -output $active_design.sv
So, the bold code means that, as i'm synthesising non clocked blocks i just coment those lines.
The italic code is used to put the I/O delays but without the clock.
Three other comments about the code:
-There're some codes that are not supported anymore for some versions of design_compiler as 'check_test', do you know any other way to do this?
-When the dc_shell intializes appears the message:
Initializing...
Error: unknown command '%d' (CMD-005)
Coul be something on the .setup file?
-There is any other adjustments that i need to do to sinthesize unclocked block?
PS: Sorry about the grammar, i'm brazilian and hope you understand. Bye Thanks for everything.