bob2987
Junior Member level 1
- Joined
- Feb 20, 2014
- Messages
- 18
- Helped
- 0
- Reputation
- 0
- Reaction score
- 0
- Trophy points
- 1
- Activity points
- 192
With no outputs, your design technically does nothing, so the synthesisor will just remove everything.
I think there is a basic misunderstanding. What exactly are you trying to do with this design? what is the goal? Are the problems with simulation or synthesis?
Just adding any old output will not just make it work. You need the correct output - ie. the output of your system - the goal you are trying to acheive.
Code VHDL - [expand] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity MAR is Port ( ld : in STD_LOGIC; RAZ : in STD_LOGIC; clk : in STD_LOGIC; D : in STD_LOGIC_VECTOR (12 downto 0); Q : out STD_LOGIC_VECTOR (12 downto 0)); end MAR; architecture Behavioral of MAR is begin process(RAZ,clk) begin if (RAZ ='0') then Q <= "0000000000000"; elsif (clk'event and clk ='1') then if (ld='1') then Q <= D; else Q <= "ZZZZZZZZZZZZZ"; end if; end if; end process; end Behavioral;
I assume those problems are like that because of your testing stimulus.
Well, thats the advantage of the simulator - you can view all internal signals so you can trace the problem and debug it..
We use cookies and similar technologies for the following purposes:
Do you accept cookies and these technologies?
We use cookies and similar technologies for the following purposes:
Do you accept cookies and these technologies?