Elevating a number to square

Status
Not open for further replies.

rumi29

Junior Member level 1
Joined
Mar 17, 2011
Messages
15
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,418
Somebody knows how to elevate to square a number of 16 bits in VHDL?

Thanks
 

you want to square a 16 bit number?

multiply it by itself and get a 32 bit result.
 

Ok thanks, but I mean how to write it in VHDL
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…