Do you think numeric_std_unsigned will catch on?

Status
Not open for further replies.

vGoodtimes

Advanced Member level 4
Joined
Feb 16, 2015
Messages
1,089
Helped
307
Reputation
614
Reaction score
303
Trophy points
83
Activity points
8,730
Just curious on everyone's opinion of numeric_std_unsigned in the recent VHDL2008 standard. The most cited reason against using std_logic_unsigned was its origin at synopsys, followed by concerns that the code would not be portable. Now that IEEE has recreated (and updated) the package, will the advice be to move to numeric_std, or numeric_std_unsigned?

numeric_std_unsigned.all gives a coding style that is similar to Verilog. At the same time, overloading "=" to have a numeric interpretation means a lack of size-mismatch warnings.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…