Displaying buffer properties

Status
Not open for further replies.

biju4u90

Full Member level 3
Joined
Dec 10, 2014
Messages
172
Helped
3
Reputation
6
Reaction score
3
Trophy points
18
Activity points
1,437
Is there any command in Cadence encounter that will display a cell's or buffer's properties like delay, slew, fanout etc.?
 

You will have to refer to the .lib file, verilog description or find a user guide...
 
I think the delay values or fanout values are not directly given in the lib files or verilog description. The tool may be using some algorithms to calculate these values from lib files. So I think I have to depend on the user guide of the library.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…