Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Comparing differential signals without comparator

Tajira

Newbie level 6
Newbie level 6
Joined
Apr 13, 2016
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Germany
Activity points
1,429
I am using lattice Crosslink FPGA. I am getting two analog signals on two differential pins( on a differential pin pair). I need to compare them in order to generate a comparator signal. Due to less resources available on FPGA I am pushed to find some innovative solution for this situation. Is there any way I may compare these signals without using built-in ADC based comparator? Any electronic technique?
 
Use a programmable SOC (System on Chip) with mixed signal in it and
programmable logic in it ?

Like this one chip part, components shown in it, multiple copies in most
'cases, and ability to create cust5om components of your own using schematic
capture and / or Verilog.... User have cxreated other libs of components to add
to its drag and drop catalog, like DDS, PLD, LUTs, Cordic, 74HC equivalents.....

1721850150069.png


Here is a simple example of what can be done and used a small amount of its resources :


Folks have made single chip oscilloscopes with it.....


Regards, Dana.
 
Last edited:
You cannot compare 2 analog values with digital gates unless you can vary the threshold of both gates and sweep the logic outputs while ramping the threshold.
Unfortunately, the assumption is not possible so a diff. amp with a suitable CM range is needed.
 
A differential input, e.g. LVDS pin pair can be used as kind of comparator with limited accuracy. But you didn't yet mention accuracy requirements. You should also consider the limited common mode range.
 
This is a stretch idea, probably not workable, but I will jump off a cliff ....

All CMOS inputs have a threshold. One could implement a PWM, then filter it, to
get a DC value. Then apply that to an input of interest, use code, and determine
the PWM value, hence DC value, the input trips at. Additionally one could use
a simple inverter stage, strap internal across it a high valued R, which biases
it up into a linear amplifier stage, "linear" used loosely as an adjective. And use that
as a G stage if needed. So at this point you have an input that trips at a value determined
by PWM, eg a sloppy comparator. Implement two of these stages. That allows phase
determination.

Obviously there are a number of T and V and noise issues and I am sure other issues to deal with.

Just a thought.......

Note you have not mentioned freq content of input signals.

Regards, Dana.
 
This is a stretch idea, probably not workable, but I will jump off a cliff ....

All CMOS inputs have a threshold. One could implement a PWM, then filter it, to
get a DC value. Then apply that to an input of interest, use code, and determine
the PWM value, hence DC value, the input trips at. Additionally one could use
a simple inverter stage, strap internal across it a high valued R, which biases
it up into a linear amplifier stage, "linear" used loosely as an adjective. And use that
as a G stage if needed. So at this point you have an input that trips at a value determined
by PWM, eg a sloppy comparator. Implement two of these stages. That allows phase
determination.

Obviously there are a number of T and V and noise issues and I am sure other issues to deal with.

Just a thought.......

Note you have not mentioned freq content of input signals.

Regards, Dana.
Signal durations(or lets say the time in which we will really observe and take decision will be around 900ns long)
 

LaTeX Commands Quick-Menu:

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top