[SOLVED] Cadence AMS: netlisting failed, with no error message

Status
Not open for further replies.

ahmad.mar

Newbie level 6
Joined
Nov 9, 2011
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Germany
Activity points
1,378
I am trying to do a mixed signal simulation with AMS under cadence\virtuoso.

this is for an old testbench which was working fine with Spectre simulator,
but then I replace a logic block with a verilog code instead of an old one with veriloga (then I need AMS).
the new Verilog block has no problem, as I am able to simulate it in a separate testbench.

when trying to run the testbench with AMS I got the error message


however in the Netlister Log I do not see any errors or even warnings


and no netlist is generated ....
do you have any suggestions/ comments
 
Last edited by a moderator:

As I found the solution I post it here, in case sb needed it

so it seems that the AMS simulator wants to get a write access for all schematics in the design hierarchy.

and since I was using some predefined ones from my Tech. Library this was preventing the netlist.
so, when copied into new cell-views, the issue was resolved
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…